Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Менеджмент
Кафедра:
Не вказано

Інформація про роботу

Рік:
2010
Тип роботи:
Методичні вказівки до лабораторної роботи
Предмет:
Захист інформації в комп’ютерних системах

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ “ЛЬВІВСЬКА ПОЛІТЕХНІКА”  СТВОРЕННЯ БІБЛІОТЕК І ПАКЕТІВ У VHDL ПРОЕКТАХ. АРХІТЕКТУРА ПРОЕКТУ НА МОВІ VHDL В СТРУКТУРНІЙ ФОРМІ. МЕТОДИЧНІ ВКАЗІВКИ до лабораторної роботи № 3 з курсу «Комп’ютерні методи аналізу та проектування електронних засобів» для студентів спеціальностей: 7.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 7.160103 “Системи захисту від несанкціонованого доступу”, 7.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 7.160105“Захист інформації і комп'ютерних системах і мережах”, 8.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 8.160103 “ Системи захисту від несанкціонованого доступу”, 8.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 8.160105“Захист інформації і комп'ютерних системах і мережах”. Затверджено на засiданнi кафедри “Захист інформації” Протокол № ___ від __ ________ 2010 р. Львів 2010 Створення бібліотек і пакетів у VHDL проектах. Архітектура проекту на мові VHDL в структурній формі. Інструкція до лабораторної роботи № 3 з курсу “ Комп’ютерні методи аналізу та проектування електронних засобів.” для студентів спеціальностей 7.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 7.160103 “Системи захисту від несанкціонованого доступу”, 7.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 7.160105“Захист інформації і комп'ютерних системах і мережах”, 8.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 8.160103 “ Системи захисту від несанкціонованого доступу”, 8.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 8.160105“Захист інформації і комп'ютерних системах і мережах” / Укл.: Горпенюк А.Я., Будз Б.Д. – Львів: НУЛП, 2010.- 9 с. Укладачі: Горпенюк А.Я., к.т.н, доцент, Будз Б.Д., асистент Мета роботи: - Ознайомитись з принципом створення бібліотек і пакетів в проектах VHDL. Реалізація комбінаційної логічної схеми з одним виходом в структурній формі проекту на мові VHDL. 1. ТЕОРЕТИЧНІ ВІДОМОСТІ 1.1. Бібліотеки і пакети в проекті VHDL. Бібліотека (library) у VHDL – це щось на зразок каталогу, в якому компілятор отримує необхідну інформацію, що використовується в проекті, яка відсутня в явному вигляді в самому проекті. Як пакет (package) – компілятори VHDL використовують бібліотечні файли або модулі, які містять оголошення об’єктів, які найчастіше використовуються: типів даних, компонентів, сигналів, процедур і функцій, тощо. Використання пакетів дозволяє дані об’єкти багаторазово використовувати в різних VHDL проектах. Наприклад, тип даних std_logic визначений в пакеті ieee.std_logic_1164, який входить в бібліотеку ieee. Тому, щоб в проекті VHDL використовувати тип std_logic, необхідно підключити до проекту відповідні бібліотеку і пакет. Ці операції виконуються на початку VHDL проекту за допомогою ключових слів library і use відповідно: library ieee; use ieee.std_logic_1164.all; Розширення .all означає, що в проекті можна використовувати описи всіх об’єктів, які містяться в пакеті ieee.std_logic_1164. Більшість САПР VHDL підтримують роботу з бібліотекою ieee, до складу якої входять наступні пакети: std_logic_1164. Містить визначення основних типів даних; std_logic_arith. Містить арифметичні функції, функції перетворення і порівняння; std_logic_misc. Містить визначення допоміжних типів даних, а також підтипів, констант і функцій, які використовуються пакетом std_logic_1164. Щоб задіяти будь-який з цих пакетів, потрібно включити в склад проекту оператори оголошення необхідних бібліотек і пакетів виду library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_misc.all; Розробниц цифрового пристрою може створити і згодом підключити до проекту власний пакет. Оголошення пакету повинно мати наступний синтаксис: --Інтерфейс пакету. package ім...
Антиботан аватар за замовчуванням

09.10.2012 18:10

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини